FSMDesigner - 有限状态机设计工具


GPLv2
Linux
C/C++

软件简介

FSMDesigner 是一个有限状态机设计工具,集成了硬件描述语言(HDL)生成器,使用 Simple-Moore FSM
模式,保证高效快速而复杂的控制流,提供一个图形化的设计界面。